]> git.pld-linux.org Git - packages/mc.git/commitdiff
- upgraded to 4.7.0pre3; libtoolize, verilog syntax in sources, added skins
authorTomasz Pala <gotar@pld-linux.org>
Fri, 2 Oct 2009 15:06:57 +0000 (15:06 +0000)
committercvs2git <feedback@pld-linux.org>
Sun, 24 Jun 2012 12:13:13 +0000 (12:13 +0000)
Changed files:
    mc-verilog-syntax.patch -> 1.3
    mc.spec -> 1.295

mc-verilog-syntax.patch [deleted file]
mc.spec

diff --git a/mc-verilog-syntax.patch b/mc-verilog-syntax.patch
deleted file mode 100644 (file)
index aead2aa..0000000
+++ /dev/null
@@ -1,268 +0,0 @@
-diff -uNr mc-4.6.2-pre1/syntax.orig/Makefile.am mc-4.6.2-pre1/syntax/Makefile.am
---- mc-4.6.2-pre1/syntax.orig/Makefile.am      2009-01-21 21:25:56.000000000 +0100
-+++ mc-4.6.2-pre1/syntax/Makefile.am   2009-01-21 21:32:22.658756469 +0100
-@@ -44,6 +44,7 @@
-       syntax.syntax           \
-       tcl.syntax              \
-       texinfo.syntax          \
-+      verilog.syntax          \
-       vhdl.syntax             \
-       unknown.syntax          \
-       xml.syntax
-diff -uNr mc-4.6.2-pre1/syntax.orig/Syntax mc-4.6.2-pre1/syntax/Syntax
---- mc-4.6.2-pre1/syntax.orig/Syntax   2009-01-21 21:31:50.000000000 +0100
-+++ mc-4.6.2-pre1/syntax/Syntax        2009-01-21 21:32:49.072508606 +0100
-@@ -193,6 +193,9 @@
- file ..\*\\.(n|N)$ Nemerle\sProgram
- include nemerle.syntax
-+file ..\*\\.(v|V)$ Verilog\sProgram
-+include verilog.syntax
-+
- file ..\*\\.(hdl|vhd|vdhl|HDL|VHD|VHDL)$ VHDL\sDevice\sDescription
- include vhdl.syntax
-diff -uNr mc-4.6.2-pre1/syntax.orig/verilog.syntax mc-4.6.2-pre1/syntax/verilog.syntax
---- mc-4.6.2-pre1/syntax.orig/verilog.syntax   1970-01-01 01:00:00.000000000 +0100
-+++ mc-4.6.2-pre1/syntax/verilog.syntax        2009-01-21 21:19:15.210084774 +0100
-@@ -0,0 +1,240 @@
-+# This is Cooledit syntax-file for verilog
-+# Created by Andres Farfan, <nafraf@linuxmail.org>
-+# Feel free to copy & modify this.
-+# 09/2004
-+
-+wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ_
-+
-+# default colors
-+context default
-+
-+
-+#Preprocessor keywords
-+  keyword  whole  `resetall           brightred/
-+  keyword  whole  `define             brightred/
-+  keyword  whole  `timescale          brightred/      
-+  keyword  whole  `ifdef              brightred/
-+  keyword  whole  `else                       brightred/
-+  keyword  whole  `endif              brightred/
-+  keyword  whole  `include            brightred/
-+  keyword  whole  `signed             brightred/
-+  keyword  whole  `unsigned           brightred/
-+  keyword  whole  `celldefine         brightred/
-+  keyword  whole  `endcelldefine      brightred/
-+  keyword  whole  `default_nettype    brightred/
-+  keyword  whole  `unconnected_drive  brightred/
-+  keyword  whole  `nounconnected_drive        brightred/
-+  keyword  whole  `accelerate         brightred/
-+  keyword  whole  `noaccelerate               brightred/
-+  keyword  whole  `protect            brightred/
-+  keyword  whole  `endprotect         brightred/
-+  keyword  whole  `protected          brightred/
-+  keyword  whole  `endprotected               brightred/
-+  keyword  whole  `expand_vectornets  brightred/
-+  keyword  whole  `noexpand_vectornets        brightred/
-+  keyword  whole  `autoexpand_vectornets brightred/
-+  keyword  whole  `remove_gatenames   brightred/
-+  keyword  whole  `noremove_gatenames brightred/
-+  keyword  whole  `remove_netnames    brightred/
-+  keyword  whole  `noremove_netnames  brightred/
-+ 
-+
-+#Reserved Keywords 1
-+  keyword  whole  always      yellow
-+  keyword  whole  and         yellow
-+  keyword  whole  assign      yellow
-+  keyword  whole  attribute   yellow
-+  keyword  whole  begin               yellow
-+  keyword  whole  buf         yellow
-+  keyword  whole  bufif0      yellow
-+  keyword  whole  bufif1      yellow
-+  keyword  whole  case                yellow
-+  keyword  whole  casex               yellow
-+  keyword  whole  casez               yellow
-+  keyword  whole  cmos                yellow
-+  keyword  whole  deassign    yellow
-+  keyword  whole  default     yellow
-+  keyword  whole  defparam    yellow
-+  keyword  whole  disable     yellow
-+  keyword  whole  edge                yellow
-+  keyword  whole  else                yellow
-+  keyword  whole  end         yellow
-+  keyword  whole  endattribute        yellow
-+  keyword  whole  endcase     yellow
-+  keyword  whole  endmodule   yellow
-+  keyword  whole  endfunction yellow
-+  keyword  whole  endprimitive        yellow
-+  keyword  whole  endspecify  yellow
-+  keyword  whole  endtable    yellow
-+  keyword  whole  endtask     yellow
-+  keyword  whole  event               yellow
-+  keyword  whole  for         yellow
-+  keyword  whole  force               yellow
-+  keyword  whole  forever     yellow
-+  keyword  whole  fork                yellow
-+  keyword  whole  function    yellow
-+  keyword  whole  highz0      yellow
-+  keyword  whole  highz1      yellow
-+  keyword  whole  if          yellow
-+  keyword  whole  initial     yellow
-+  keyword  whole  inout               yellow
-+  keyword  whole  input               yellow
-+  keyword  whole  integer     yellow
-+  keyword  whole  join                yellow
-+  keyword  whole  large               yellow
-+  keyword  whole  macromodule yellow
-+  keyword  whole  medium      yellow
-+  keyword  whole  module      yellow
-+  keyword  whole  nand                yellow
-+  keyword  whole  negedge     yellow
-+  keyword  whole  nmos                yellow
-+  keyword  whole  nor         yellow
-+  keyword  whole  not         yellow
-+  keyword  whole  notif0      yellow
-+  keyword  whole  notif1      yellow
-+  keyword  whole  or          yellow
-+  keyword  whole  output      yellow
-+  keyword  whole  parameter   yellow
-+  keyword  whole  pmos                yellow
-+  keyword  whole  posedge     yellow
-+  keyword  whole  primitive   yellow
-+  keyword  whole  pull0               yellow
-+  keyword  whole  pull1               yellow
-+  keyword  whole  pullup      yellow
-+  keyword  whole  pulldown    yellow
-+  keyword  whole  rcmos               yellow
-+  keyword  whole  reg         yellow
-+  keyword  whole  release     yellow
-+  keyword  whole  repeat      yellow
-+  keyword  whole  rnmos               yellow
-+  keyword  whole  rpmos               yellow
-+  keyword  whole  rtran               yellow
-+  keyword  whole  rtranif0    yellow
-+  keyword  whole  rtranif1    yellow
-+  keyword  whole  scalared    yellow
-+  keyword  whole  small               yellow
-+  keyword  whole  specify     yellow
-+  keyword  whole  specparam   yellow
-+  keyword  whole  strength    yellow
-+  keyword  whole  strong0     yellow
-+  keyword  whole  strong1     yellow
-+  keyword  whole  supply0     yellow
-+  keyword  whole  supply1     yellow
-+  keyword  whole  table               yellow
-+  keyword  whole  task                yellow
-+  keyword  whole  time                yellow
-+  keyword  whole  tran                yellow
-+  keyword  whole  tranif0     yellow
-+  keyword  whole  tranif1     yellow
-+  keyword  whole  tri         yellow
-+  keyword  whole  tri0                yellow
-+  keyword  whole  tri1                yellow
-+  keyword  whole  triand      yellow
-+  keyword  whole  trior               yellow
-+  keyword  whole  trireg      yellow
-+  keyword  whole  use         yellow
-+  keyword  whole  vectored    yellow
-+  keyword  whole  wait                yellow
-+  keyword  whole  wand                yellow
-+  keyword  whole  weak0               yellow
-+  keyword  whole  weak1               yellow
-+  keyword  whole  while               yellow
-+  keyword  whole  wire                yellow
-+  keyword  whole  wor         yellow
-+  keyword  whole  xnor                yellow
-+  keyword  whole  xor         yellow
-+  
-+#Reserved Keywords 2
-+
-+  keyword  whole  $bitstoreal  yellow
-+  keyword  whole  $countdrivers        yellow
-+  keyword  whole  $display     yellow
-+  keyword  whole  $dumpall     yellow
-+  keyword  whole  $dumpfile    yellow
-+  keyword  whole  $dumpflush   yellow
-+  keyword  whole  $dumpoff     yellow
-+  keyword  whole  $dumpon      yellow
-+  keyword  whole  $dumpvars    yellow
-+  keyword  whole  $fclose      yellow
-+  keyword  whole  $fdisplay    yellow
-+  keyword  whole  $finish      yellow
-+  keyword  whole  $fmonitor    yellow
-+  keyword  whole  $fopen       yellow
-+  keyword  whole  $fstrobe     yellow
-+  keyword  whole  $fwrite      yellow
-+  keyword  whole  $getpattern  yellow
-+  keyword  whole  $history     yellow
-+  keyword  whole  $hold                yellow
-+  keyword  whole  $incsave     yellow
-+  keyword  whole  $input       yellow
-+  keyword  whole  $itor                yellow
-+  keyword  whole  $key                 yellow
-+  keyword  whole  $list                yellow
-+  keyword  whole  $log                 yellow
-+  keyword  whole  $monitor     yellow
-+  keyword  whole  $monitoroff  yellow
-+  keyword  whole  $monitoron   yellow
-+  keyword  whole  $nokey       yellow
-+  keyword  whole  $nolog       yellow
-+  keyword  whole  $period      yellow
-+  keyword  whole  $printtimescale      yellow
-+  keyword  whole  $readmemb    yellow
-+  keyword  whole  $readmemh    yellow
-+  keyword  whole  $realtime    yellow
-+  keyword  whole  $realtobits  yellow
-+  keyword  whole  $recovery    yellow
-+  keyword  whole  $reset       yellow
-+  keyword  whole  $reset_count         yellow
-+  keyword  whole  $reset_value         yellow
-+  keyword  whole  $restart     yellow
-+  keyword  whole  $rtoi                yellow
-+  keyword  whole  $save                yellow
-+  keyword  whole  $scale       yellow
-+  keyword  whole  $scope       yellow
-+  keyword  whole  $setup       yellow
-+  keyword  whole  $setuphold   yellow
-+  keyword  whole  $showscopes  yellow
-+  keyword  whole  $showvariables yellow
-+  keyword  whole  $showvars    yellow
-+  keyword  whole  $skew                yellow
-+  keyword  whole  $sreadmemb   yellow
-+  keyword  whole  $sreadmemh   yellow
-+  keyword  whole  $stime       yellow
-+  keyword  whole  $stop                yellow
-+  keyword  whole  $strobe      yellow
-+  keyword  whole  $time                yellow
-+  keyword  whole  $timeformat  yellow
-+  keyword  whole  $width       yellow
-+  keyword  whole  $write       yellow
-+
-+
-+    keyword > yellow
-+    keyword < yellow
-+    keyword \+ yellow
-+    keyword - yellow
-+    keyword \* yellow
-+    keyword / yellow
-+    keyword % yellow
-+    keyword = yellow
-+    keyword != yellow
-+    keyword == yellow
-+    keyword { brightcyan
-+    keyword } brightcyan
-+    keyword ( brightcyan
-+    keyword ) brightcyan
-+    keyword [ brightcyan
-+    keyword ] brightcyan
-+    keyword , brightcyan
-+    keyword . brightcyan    
-+    keyword : brightcyan
-+    keyword ? brightcyan
-+    keyword ; brightmagenta
-+
-+
-+context exclusive /\* \*/ brown
-+    spellcheck
-+
-+context exclusive // \n brown
-+    spellcheck
-+
-+context " " green/
diff --git a/mc.spec b/mc.spec
index faaf8ee4eb128fbd96967f256bf631baf368862a..3b7d9b0c7d2dc5cc15aa2d40e096e7e708f0afc8 100644 (file)
--- a/mc.spec
+++ b/mc.spec
@@ -8,7 +8,7 @@
 %bcond_with    samba           # with SAMBA vfs support
 %bcond_without x               # without text edit in X support
 #
-%define        snap    pre2
+%define        snap    pre3
 Summary:       A user-friendly file manager and visual shell
 Summary(de.UTF-8):     Visuelle Shell Midnight Commander
 Summary(es.UTF-8):     Interpretador de comandos visual Midnight Commander
@@ -26,7 +26,7 @@ Release:      0.3
 License:       GPL v2+
 Group:         Applications/Shells
 Source0:       http://www.midnight-commander.org/downloads/%{name}-%{version}-%{snap}.tar.bz2
-# Source0-md5: 347d0144709ed342302787e314146eef
+# Source0-md5: 8f5f84a2858c8aaecee7a221a9428370
 Source1:       %{name}serv.pamd
 Source2:       %{name}serv.init
 Source3:       http://www.mif.pg.gda.pl/homepages/ankry/man-PLD/%{name}-non-english-man-pages.tar.bz2
@@ -43,19 +43,19 @@ Patch11:    %{name}-noperl-vfs.patch
 Patch12:       %{name}-pld-developerfriendly.patch
 Patch17:       %{name}-nolibs.patch
 Patch24:       %{name}-find_options.patch
-Patch25:       %{name}-verilog-syntax.patch
 URL:           http://www.midnight-commander.org/
 BuildRequires: autoconf
 BuildRequires: automake
 BuildRequires: gettext-devel
 BuildRequires: glib2-devel
 BuildRequires: indent
-BuildRequires: slang-devel >= 2.2.1
+BuildRequires: libtool
 BuildRequires: pam-devel
 BuildRequires: pkgconfig
 BuildRequires: rpm-perlprov
 BuildRequires: rpmbuild(macros) >= 1.268
 BuildRequires: sed >= 4.0
+BuildRequires: slang-devel >= 2.2.1
 %ifnarch s390 s390x
 BuildRequires: gpm-devel
 %endif
@@ -78,7 +78,7 @@ Conflicts:    bash < 2.05b
 Conflicts:     rpm < 4.0
 BuildRoot:     %{tmpdir}/%{name}-%{version}-root-%(id -u -n)
 
-%define                specflags_ia32   -fomit-frame-pointer
+%define                specflags_ia32  -fomit-frame-pointer
 
 %description
 Midnight Commander is a visual shell much like a file manager, only
@@ -231,7 +231,6 @@ cp -f vfs/extfs/{rpm,srpm}
 %if "%{pld_release}" == "ti"
 %patch24 -p1
 %endif
-%patch25 -p1
 
 rm -f po/stamp-po
 
@@ -239,6 +238,7 @@ sed -i 's:|hxx|:|hh|hpp|hxx|tcc|:' syntax/Syntax
 
 %build
 %{__gettextize}
+%{__libtoolize}
 %{__aclocal} -I m4
 %{__autoconf}
 %{__automake}
@@ -327,6 +327,7 @@ fi
 %attr(755,root,root) %{_libdir}/mc/*.csh
 %dir %{_datadir}/mc
 
+%{_datadir}/mc/skins
 %{_datadir}/mc/syntax
 
 %{_datadir}/mc/mc.hlp
@@ -397,7 +398,7 @@ fi
 
 %files -n mcserv
 %defattr(644,root,root,755)
-%attr(640,root,root)  %config(noreplace) %verify(not md5 mtime size) /etc/pam.d/*
+%attr(640,root,root) %config(noreplace) %verify(not md5 mtime size) /etc/pam.d/*
 %attr(754,root,root) /etc/rc.d/init.d/mcserv
 %config(noreplace) %verify(not md5 mtime size) /etc/sysconfig/mcserv
 %{_mandir}/man8/mcserv.8*
This page took 0.054772 seconds and 4 git commands to generate.